Государственное образовательное учреждение высшего профессионального образования




Скачать 224.6 Kb.
Название Государственное образовательное учреждение высшего профессионального образования
Дата публикации 18.06.2014
Размер 224.6 Kb.
Тип Методические указания
literature-edu.ru > Авто-обзор > Методические указания


ФЕДЕРАЛЬНОЕ АГЕНТСТВО ПО ОБРАЗОВАНИЮ

ГОСУДАРСТВЕННОЕ ОБРАЗОВАТЕЛЬНОЕ УЧРЕЖДЕНИЕ

ВЫСШЕГО ПРОФЕССИОНАЛЬНОГО ОБРАЗОВАНИЯ
ВЯТСКИЙ ГОСУДАРСТВЕННЫЙ УНИВЕРСИТЕТ
Факультет автоматики и вычислительной техники
Кафедра автоматики и телемеханики
ПРИМЕНЕНИЕ ПРОГРАММ P-CAD ДЛЯ ЛОГИЧЕСКОГО МОДЕЛИРОВАНИЯ НА ПЭВМ
Методические указания


Дисциплина “Автоматизация моделирования и проектирования электронных схем
Специальность 21.01.00, III курс, заочное отделение


Киров 2005
Цель: знакомство с методикой автоматизированного моделирования электронных принципиальных схем в САПР PCAD 4.5.

1. Общие положения


Модель - это макет, схема, изображение или описание какого-либо предмета, явления или процесса в природе, обществе, технике, изучаемое как их аналог.

Моделирование - это процесс представления объекта исследования адекватной ему моделью и проведение экспериментов с ней с целью получения информации о самом объекте исследования.
При проектировании цифровых логических схем требуется иметь средства проверки правильности их функционирования.

Оценка корректности разработанных схем может быть выполнена следующим образом:

- макетирование и проверка работы в различных режимах;

- моделирование на ЭВМ.

Моделирование на ЭВМ применяется все чаще, так как позволяет оценить правильность функционирования разработанной схемы при этом значительно ускорить процесс проектирования и уменьшить затраты труда.

С помощью моделирования в системах автоматизированного проектирования (САПР) цифровых (дискретных) устройств (ДУ) решаются следующие задачи:

- проверка правильности логического функционирования ДУ;

- проверка временных характеристик ДУ;

- проверка функционирования цепей установки ДУ в начальное (исходное) состояние;

- анализ состязаний и рисков сбоев;

- определение диагностических свойств тестов.
Тест – контрольная задача для проверки правильности функционирования устройства.

Диагностика – контроль, проверка и прогнозирование состояния объектов.

Цель диагностики – обнаружение неисправностей и выявление предполагаемых элементов, ненормальное функционирование которых является причиной возникновения неисправностей или сбоев.
В настоящее время существует большое число методов моделирования логических схем. Они различаются степенью соответствия используемых моделей сигналов и элементов реальным объектам, т.е. степенью адекватности.
Под адекватностью понимается степень соответствия результатов моделирования истинному поведению исследуемого ДУ.

Естественное стремление к увеличению адекватности моделирования, однако, это приводит, как правило, к увеличению времени моделирования и требуемой памяти.
Адекватность моделирования во многом зависит от:

- принятой модели ДУ;

- моделей сигналов;

- моделей элементов;

- способа учета временных соотношений между сигналами.
Модели ДУ

Модель может быть физической или абстрактной.

Физическая модель - это материально реализованная система, например, макет, тренажёр, масштабная модель или экспериментальный образец.
Абстрактная модель - это описание объекта исследования или проектирования на каком-либо языке, например чертёж, схема, граф, таблица, формула, граф- или блок-схема алгоритма, программа для ЭВМ или словесное описание (содержательная модель).

Разновидностью абстрактных моделей является математическая модель.

Математическая модель представляет собой описание объекта исследования на языке математических отношений.
Математические модели делятся на аналитические и имитационные.

Аналитические модели представляют собой уравнения или системы уравнений. Они записаны и решены в буквенном виде. Отсюда и происходит их название. Например, аналитическая модель логического элемента 2И-НЕ может выглядеть так:
.
По известным значениям на входах A и B Вы легко определите состояние выхода F.
В модели можно учесть не только функцию, но и другие внешние параметры объекта, например задержку распространения сигнала через элемент. На языке VHDL (один из распространенных языков описания аппаратуры) это сделать очень просто:
F <= not ( A and B) after 20ns;
Фраза «after 20ns » означает, что сигнал F получит новое значение не в текущий момент модельного времени, когда выполняется данный оператор, а спустя 20ns, то есть переменная F будет сохранять старое значение ещё некоторое время.
Имитационная модель представляет собой алгоритм (процедуру), которым описывается поведение соответствующего ей объекта.
Для того же элемента 2И-НЕ имитационная модель может быть представлена следующим описанием: если вход А или вход В равняется 0, то выходу F присвоить 1, иначе 0.
Если это правило (алгоритм) записать на каком-либо языке моделирования или программирования, оформив его в виде процедуры или функции, то мы получим программную имитационную модель логического элемента 2И-НЕ.

На языке VHDL фрагмент описания выглядит следующим образом:
begin -- начало процесса

if A = ‘0’ or B = ‘0’ then

F < = ’1’;

else

F < = ‘0’;

end if;
На первый взгляд кажется, что аналитические модели проще и им следует отдать предпочтение. Для простых объектов это действительно так. Но попробуйте построить аналитическую модель более сложного объекта, например двоичного счётчика или микропроцессора. Вы убедитесь, что решить математические уравнения в общем виде, как правило, не удаётся, тогда как отыскать алгоритм поведения объекта не представляет особых трудностей.
Рассмотренные типы моделей называют поведенческими или функциональными.

Их отличительная черта заключается в том, что они описывают объект как бы снаружи, внутренняя структура объекта не раскрывается. Это модели типа «чёрный ящик». Известно поведение (функция) объекта, но в лучшем случае можно только догадываться, что у него внутри. Рассмотренный выше пример подтверждает сказанное: мы знаем, что логический элемент выполняет функцию 2И-НЕ. Можно заложить в модель и другие внешние параметры, например задержку срабатывания и нагрузочную способность. Но ответить на вопрос, из каких компонентов построен данный элемент и как они между собой связаны, мы не в состоянии.
Можно построить целую библиотеку функциональных моделей логических элементов, а затем «собирать» из них более сложные цифровые объекты (например, узлы и устройства) подобно тому, как это делается при макетировании. Очевидно, мы должны «соединять» модели в соответствии со структурой моделируемого устройства. Такие модели называются структурными, потому что они отражают внутреннее строение объекта, его структуру.

Структурные модели позволяют на небольшом наборе базовых элементов без особых хлопот моделировать весьма широкий класс цифровых узлов и устройств.
Структурные модели кажутся более привлекательными, потому что на небольшом наборе базовых элементов (и соответствующих им поведенческих моделей) можно без особых хлопот моделировать весьма широкий класс цифровых узлов и устройств" "Кроме того, при построении структурных моделей совсем не обязательно располагать информацией о поведении моделируемого объекта. Нужна лишь его структура, например функциональная схема двоичного счетчика, а детали в поведении объекта будут выявлены позднее, в процессе модельных экспериментов. К сожалению, структурные модели хороши, пока они захватывают не более двух, реже трёх, уровней описания объекта. Например, когда узел (то есть регистр, счётчик, мультиплексор, дешифратор) раскрывается с подробностью до элементов (вентилей и триггеров) или устройство, например микропроцессор или память детализируются до уровня узлов.

Попытка декомпозировать сложный объект до уровня элементов или до уровня компонентов (транзисторов, резисторов и прочих радиодеталей) приводит к лавинообразному росту числа структурных примитивов и мы рискуем легко утонуть в деталях. Достаточно вспомнить, что микропроцессор Pentium содержит около трёх миллионов транзисторов, и у Вас пропадёт всякое желание моделировать его на транзисторном уровне (да такое и не по силам ни одной системе схемотехнического моделирования).
Другой недостаток структурных моделей заключается в том, что пользователь, покупая микросхемы, обычно не знает их внутренней структуры уровня логических вентилей. Такая информация, как правило, является секретом фирмы - изготовителя и недоступна потребителю. Поэтому готовую ИМС любого уровня интеграции желательно описывать как поведенческую модель типа «черный ящик», без моделирования деталей ее внутренней структуры.
Для поведенческих моделей ИМС важную роль играет отображение временных параметров, что нередко ускользает из поля зрения студента. Смоделировав функцию объекта. Вы сделали только первый шаг. Ваша модель позволяет проверить правильность логического функционирования, принципиальную работоспособность проекта. Но будет ли проектируемое устройство работать в области высоких частот или больших скоростей? Например, построив модель двоичного счетчика. Вы убедились, что он правильно считает. Но сохранится ли его работоспособность при частоте счета 50 или 100 МГц ?

Для ответа на поставленный вопрос, надо учесть в модели временные параметры объекта, такие как задержка распространения сигнала, время предустановки, время удержания, минимальную длительность входных импульсов, гонки, риски сбоя и прочие временные параметры.
Моделирование без учета задержек называют функциональным или логическим (если оно выполняется на вентильном уровне), а с учетом задержек - логико-временным (асинхронным).
Одна из заповедей моделирования гласит: сначала постройте простую, базовую модель (обычно ее называют грубой моделью), «оживите» ее, добейтесь, чтобы она правильно имитировала функцию объекта, а затем, добавляя в модель детали и/или наделяя ее новыми свойствами (задержки, нагрузочная способность и так далее), добейтесь желаемого качества (точная модель}.
Модели сигналов

При моделировании входным, выходным и внутренним переменным приписываются значения, которые называются символами. Совокупность различных символов, используемых при моделировании, называется алфавитом моделирования.

Моделью сигналов называется соответствие между реальными сигналами и символами принятого алфавита.

Простейшим и наиболее распространенным является двоичный алфавит {0,1}.

Для учета неоднозначности поведения устройства используется троичный алфавит {0, 1, х}. Символ х обозначает неизвестное или неопределенное значение.
Модели элементов

Элементом ДУ называется конструктивно и функционально законченная часть ДУ, не подлежащая дальнейшему расщеплению.

Элементы ДУ представляются как структурными, так и функциональными моделями. Возможность использовать функциональные модели обуславливается сравнительно небольшим числом типов элементов и относительной простотой реализуемых ими функций по сравнению с функциями, реализуемыми ДУ. Простейшие логические элементы (И, ИЛИ, НЕ и т.д.) практически всегда представляются функциональными моделями.

Множество элементов модели, реализующих разные логические функции, называется базисом модели (базисом моделирования).
Способ учета временных соотношений между сигналами

В зависимости от способа учета времени распространения сигнала, методы моделирования делятся на:

- синхронный или логический (без учета задержек в элементах схемы);

- асинхронный (с учетом задержек).

2. Моделирование в САПР PCAD


Процесс моделирования цифровых устройств производится в САПР Р-САD с помощью программы PC-LOGS. Результаты моделирования представляются в виде временных диаграмм, по которым анализируется работа устройства. При выполнении процедуры моделирования узлы цифрового устройства могут иметь один из трех логических уровней:

- логическая единица <1>;

- логический нуль <0>;

- неопределенный уровень .
В начале моделирования все узлы, состояния которых принудительно не установлены, имеют неопределенный уровень .

В конфликтной ситуации, когда два или более сигналов от различных источников подаются на один вход, необходимо знать выходные сопротивления источников сигналов. В программе PC-LOGS выходное сопротивление принимает четыре значения. Перечислим их в порядке возрастания:

- S - малое выходное сопротивление источников питания и внешних генераторов;

- D - выходное сопротивление управляемых КМОП-транзисторов;

- R - выходное сопротивление МОП-транзисторов с каналами n- и р-типов;

- Z - высокое выходное сопротивление.

В конфликтной ситуации логическое состояние узла определяется источником сигнала, имеющим меньшее выходное сопротивление. Каждый узел цепи в зависимости от его логического уровня и выходного сопротивления может находиться в одном из 12 логических состояний, представленных в таблице 1.
Таблица 1

Логический уровень

Выходное сопротивление

Логическое состояние

«1»

S

S1

«1»

D

D1

«1»

R

R1

«1»

Z

Z1

«0»

S

S0

«0»

D

D0

«0»

R

R0

«0»

Z

Z0

«Х»

S

SX

«Х»

D

DX

«Х»

R

RX

«Х»

Z

ZX


В программе используются две безразмерные целочисленные единицы измерения временных интервалов: шаг и цикл.

Шаг - это минимальный интервал времени, используемый для измерения задержек сигналов. Цикл состоит из нескольких шагов.

В синхронных устройствах это обычно период тактовой частоты. Длительность сигнала может задаваться как в циклах, так и в шагах, но наиболее употребительно определение длительности сигналов в циклах. Цену одного шага в секундах назначает пользователь, например, можно длительность шага положить равной 1 нс (в программе эта информация не используется, на временных диаграммах отмечается только количество шагов и циклов).

3. Встроенные модели типовых компонентов


В системе P-CAD имеются встроенные логические модели типовых компонентов цифровых устройств, описание которых представлены в таблице 2.
Таблица 2 – Описание встроенных моделей типовых компонентов

Имя компонента

Функциональное назначение

Код идентификации ID

INVR

Инвертор

1

NAND

Логическое И-НЕ

2

NOR

Логическое ИЛИ-НЕ

3

AND

Логическое И

4

OR

Логическое ИЛИ

5

XOR

Логическое исключающее ИЛИ

6

XNOR

Логическое исключающее ИЛИ-НЕ

7

BUFR

Буфер без инверсии

8

RES

Резистор

9

TINV0

Инвертор с тремя состояниями, активное состояние при низком уровне сигнала разрешения

10

TINV1

Инвертор с тремя состояниями, активное состояние при высоком уровне сигнала разрешения

11

TBUF0

Буфер с тремя состояниями, активное состояние при низком уровне сигнала разрешения

12

TBUF1

Буфер с тремя состояниями, активное состояние при высоком уровне

13

DFFPL

D-триггер с положительным фронтом срабатывания и низким уровнем сигнала установки и сброса

105

DFFPH

D-триггер с положительным фронтом срабатывания и высоким уровнем сигнала установки и сброса

106

TFFNL

T-триггер с отрицательным фронтом срабатывания и низким уровнем сигналов установки

111

TFFN

T-триггер с отрицательным фронтом срабатывания и высоким уровнем сигналов установки

112


Каждому компоненту присвоен код идентификации ID. В соответствии с этим кодом программа моделирования идентифицирует его тип и включает логическое описание компонента в задание на моделирование для программы PC-LOGS.
Таблица 3 – Графическое изображение моделей типовых компонентов.

Имя компонента

Код

Графическое изображение на схеме

Порядок следования выводов

INVR

1



Выход, вход

NAND

NAND2

NAND3



2



Выход, вход1, вход2, ……

(количество входов >= 2)

NOR

NOR2

NOR3



3



Выход, вход1, вход2, ……

(количество входов >= 2)

AND

AND2

AND3



4



Выход, вход1, вход2, ……

(количество входов >= 2)

OR

OR2

OR3



5



Выход, вход1, вход2, ……

(количество входов >= 2)

XOR

6



Выход, вход1, вход2

XNOR

7



Выход, вход1, вход2

BUFR

8



Выход, вход

RES

9



Выход, вход

TINV0

10



Выход, разрешение W, вход X

TINV1

11



Выход, разрешение W, вход X

TBUF0

12



Выход, разрешение W, вход X

TBUF1

13



Выход, разрешение W, вход X

DFFPL

105



Выход, инверсный выход, тактовый вход С, вход D, вход установки S, вход сброса R

DFFPH

106



Выход, инверсный выход, тактовый вход С, вход D, вход установки S, вход сброса R

TFFNL

111



Выход, инверсный выход, тактовый вход С, вход T, вход установки S, вход сброса R

TFFNH

112



Выход, инверсный выход, тактовый вход С, вход T, вход установки S, вход сброса R


Указанный в таблице 3 порядок следования выводов необходимо выдерживать при создании графического образа компонента (режим SYMB, команда ENTR/PIN), чтобы он был согласован с логическим описанием, принятым в программе PC-LOGS.

4. Ввод модели типового элемента


При вводе модели типового компонента дополнительно руководствоваться [1].

Ввод модели типового компонента состоит из следующих этапов:

- настройка среды проектирования;

- ввод условно-графического обозначения (УГО) компонента (см. таблицу 3);

- ввод контактов компонента с указанным порядком ввода в таблице 3.

- ввод значения кода идентификации компонента ID с использованием команды SCMD/SCAT (см. таблицы 2 и 3).

- запись введенного компонента.

5. Составление структурной модели


При выполнении данного раздела дополнительно руководствоваться [1].

Составление структурной модели цифрового устройства состоит из следующих этапов:

- ввод символьных изображений встроенных моделей цифровых компонентов (файлы с расширением SYM) с помощью графического редактора PC-CAPS (см. раздел 3 и 4);

- ввод соответствующей принципиальной схемы (файл с расширением SCH) с помощью программы PCCAPS, в качестве компонентов цифрового устройства использовать введенные модели типовых компонентов (раздел 4);

- формирование из файла принципиальной схемы (файл с расширением SCH) файла электрических связей (файл c расширением NLT) c использованием программы PC-NODES.;

- формирование описания модели в формате программы PC-LOGS (файл с расширением NET) из файла описания электрических связей (файл с расширением NLT) c использованием программы PRESIM.

6. Моделирование цифровых устройств


Файл с расширением NET (см. раздел 5) является входным файлом для программы логического моделирования PC-LOGS.

Программа логического моделирования РС-LOGS вызывается непосредственно командой:

>pclogs
После перехода в начальном меню в режим моделирования на экране появляется подсказка =>, свидетельствующая о том, что программа ожидает ввода команд. Дальнейшая работа с программой возможна в интерактивном или командном режиме. В интерактивном режиме пользователь вводит по очереди команды и наблюдает результаты их выполнения на экране дисплея. В режиме командной строки список всех команд записывается в командный файл с расширением .CMD, который передается на выполнение командой: =>@ «имя командного файла».CMD.

Командный файл создается с помощью любого текстового редактора (EDIT, KEDIT и др.) или образуется переименованием и редактированием файла PCLOGS.OUT, в который автоматически заносятся все команды, использованные в сеансе интерактивного взаимодействия с программой PC-LOGS.

В программе PC-LOGS результаты моделирования могут быть представлены в виде временных диаграмм или таблиц. Их можно просмотреть на экране дисплея, выдать на печать и запомнить на диске в файле, чтобы позже еще раз просмотреть на экране результаты моделирования.

Основные команды программы PC-LOGS.

Команда HELP. Обеспечивает пользователя информацией об использовании команд на любом этапе моделирования.

Команда LOAD загружает файл описания цепей (созданный программой PRESIM).

Команда ATTRN изменяет PCL-атрибут компонента (время задержки и логическую силу выхода). Эта команда используется после команды LOAD, но перед выполнением моделирования.

Команда CYCLE определяет время цикла во временных шагах.

Команда GEN определяет входную временную диаграмму в циклах. Цикл устанавливается командой CYCLE.

Команда CLOCK определяет входные сигналы в терминах временного шага.

Команда DISPLAY используется для вывода на экран дисплея результатов моделирования в графическом виде. При этом входные и выходные сигналы изображаются в виде временных диаграмм. Команда DISPLAY имеет параметры масштабирования временных диаграмм.

Команда ERASE предназначена для стирания временной диаграммы на экране дисплея. Команда используется только в графическом режиме.

Команда TYPE используется для получения на экране списка состояния узлов в виде таблицы.

Команда CMODE осуществляет форматирование экрана на графический режим из текстового и наоборот.

Команда SPOOL предназначена для запоминания выходной информации в файл. Информация запоминается в двоичной форме и является входной для программы обработки результатов моделирования. Команда SPOOL используется совместно с командой MONITOR.

Команда PROBE предназначена для выбора узлов, изображаемых на экране дисплея при использовании команды DISPLAY. Узлы изображаются в соответствии со списком.

Команда MONITOR контролирует узлы, выбранные на экран дисплея командой TYPE, или узлы, выбранные для запоминания на диск командой SPOOL.

Команда SHOW используется для определения состояния точно указанного выхода. Эта команда отличается от команд PROBE и MONITOR тем, что показывает узел, не добавляя его в какой-либо высвечиваемый на экране список.

Команда INIT используется для инициализации определенных узлов, заданным логическим состоянием перед началом моделирования. Команда INIT не может быть использована после инициализации рабочей цепи, которая уже имела место.

Команда NOINIT предназначена для перехода к шагу инициализации рабочей цепи перед началом моделирования.

Команда FORCE устанавливает состояние входа независимо от остальной схемы.

Команда MEMLOAD предназначена для инициализации начальных данных в компоненты памяти (ОЗУ и ПЗУ).

Команда STEP используется для моделирования схемы на точно определенное количество временных шагов.

Команда SIM предназначена для моделирования схемы из текущего состояния в течении определенного числа циклов или до конца указанного цикла.

Команда PAUSE предназначена для указания временных пауз между командами программы PCLOGS. Применение этой команды особенно удобно при выполнении командного файла.

Команда SAVE используется для запоминания в файл текущего состояния моделирования.

Команда EXIT заканчивает сеанс работы с программой PCLOGS.
Примеры.

Пример интерактивного сеанса моделирования.

Вызвать программу: PCLOGS .

На подсказку системы:

=>

необходимо ввести команду, загружающую файл, содержащий список цепей моделируемой схемы:

=>LOAD EXAMPL.NET .

Когда схема будет загружена, опять появится системная подсказка.

Установить временной цикл, например, 20 временных шагов:

=>CYCLE 20 .

Для описания входных сигналов используется команда GEN. На входные цепи A и B, с нулевой задержкой, периодически подаются последовательности сигналов, описанные логическими состояниями (S0, S1) и длительностью (1, 2) этих состояний в терминах "временной цикл":

=>GEN [0 0] A (S0/1 S1/2) ,

=>GEN [0 0] B (S1/1 S0/1) .

Установить на экране дисплея режим графического вывода результатов моделирования, с интервалом времени выдачи сообщений равным двум временным шагам:

=>DISPLAY 2 .

Определить узлы (A,B,OUT), которые должны быть показаны на выходной временной диаграмме:

=>PROBE A B OUT .

Запустить моделирование на 10 временных циклов:

=>SIM 10 .

Эта команда запускает моделирование схемы, изменяет экран дисплея на графический режим и начинает показ временных диаграмм.

О процессе моделирования можно получить дополнительную информацию, не показываемую при графическом выводе. Для просмотра у всех команд программы PCLOGS установленных опций и получения информации о количестве используемых узлов, компонент, а также об установленных и текущих временных параметрах применяется команда STATUS:

=>STATUS .

Для получения подробной информации, например, о выбранных в список узлах, их текущих состояниях и т. д., необходимо указать команду без каких-либо параметров:

=>PROBE .

В любой момент времени можно определить состояние любого узла моделируемой схемы, независимо от того, выбран ли он в какой-нибудь список, или нет. При этом указанный узел в список не заносится, и его состояние не запоминается. Для примера выбран узел A:

=>SHOW A .

Для возврата в первоначально установленный режим используется команда CMODE:

=>CMODE .

Установить расширение экрана дисплея по множителю два:

=>DISPLAY 2/2 .

Эта команда эффективна со следующей.

Продолжить моделирование:

=>SIM 8 .

Изменить временной цикл:

=>CYCLE 10 .

Эта команда эффективна со следующей.

Продолжить моделирование:

=>SIM 20 .

Закончить сеанс моделирования:

=>EXIT .
Пример использования командного файла
Серия команд программы PCLOGS может выполняться как командный файл. Этот файл создается программой текстового редактора. Пример командного файла EX1.CMD:
LOAD EXAMPL.NET

CYCLE 20

GEN [0 0] A (S0/1 S1/2)

GEN [0 0] B (S1/1 S0/1)

DISPLAY 2

PROBE A B OUT

SIM 10

PAUSE

STATUS

PAUSE

PROBE

PAUSE

SHOW A

PAUSE

CMODE

DISPLAY 2/2

SIM 8

PAUSE

CYCLE 10

SIM 20

PAUSE

EXIT
LOAD EXAMPL.NET

CYCLE 20

GEN [0 0] A (S0/1 S1/2)

GEN [0 0] B (S1/1 S0/1)

DISPLAY 2

PROBE A B OUT

SIM 10
В командном файле удобно использовать команду PAUSE. Ее использование дает возможность просматривать результаты выполненных команд перед выполнением следующих.

7. Варианты заданий


1. К155ИЕ2.

2. К155ИЕ4.

3. К555ИР23.

4. К155ИЕ5.

5. К155ИД1.

6. К155ИД3.

7. К155ИД4.

8. К155КП1.

9. К531КП2.

10. К155КП5.

11. К555ИД10.

12. К531ИД14.

13. К555ИД6.

14. К555КП11.

15. К555КП14.

16. К531ИД14

17. К555КП13

18. К555ИВ1.

19. К155ИР1

20. К155ИР13

8. Порядок выполнения работы


Работа выполняется в следующей последовательности:

- разобрать логику работы согласно варианта;

- составить отчет (структура отчета представлена ниже);

- ввести необходимые для реализации модели типовых компонентов;

- ввести модель (принципиальную схему) с использованием введенных типовых компонентов;

- выполнить моделирование работы введенного цифрового устройства (входные параметры необходимо описать так, чтобы продемонстрировать все возможные режимы работы модели);

- результаты моделирования должны быть представлены в виде временных диаграмм;

- ответить на вопросы преподавателя (см. раздел «Общие положения»).
Отчет должен включать:

- титульный лист;

- задание;

- перечень моделей типовых компонентов, необходимых для построения модели цифрового устройства согласно варианта задания;

- порядок ввода модели типового компонента;

- принципиальную схему модели цифрового устройства, построенную на базе моделей типовых компонентов;

- порядок ввода принципиальной схемы;

- последовательность директив моделирования;

- заключение.
Вопросы


  1. Определение понятия модель, моделирование.

  2. Задачи, которые решаются с использованием систем автоматизированного проектирования, ориентированных на разработку цифровых устройств.

  3. Понятие адекватности.

  4. Классификация моделей.

  5. Функциональные и структурные модели, их особенности.

  6. Синхронное и асинхронное моделирование.

  7. Модели сигналов. Модели элементов.



Литература
1. Автоматизированное проектированием электронных принципиальных схем и плат печатного монтажа в САПР PCAD 4.5. Методические указания по выполнению лабораторного практикума специальности 21.01.00 для студентов 3-го курса дневного отделения.

2. Разевиг В.Д. Применение программ PCAD и Pspise для схемотехнического моделирования на ПЭВМ: В 4 вып. Вып.1. Под общей ред. Г.М.Веденеева. – М.: Радио и связь, 1992.

3. Разевиг В.Д. Применение программ PCAD и Pspise для схемотехнического моделирования на ПЭВМ: В 4 вып. Вып.2. Под общей ред. Г.М.Веденеева. – М.: Радио и связь, 1992.

4. Разевиг В.Д. Применение программ PCAD и Pspise для схемотехнического моделирования на ПЭВМ: В 4 вып. Вып.3. Под общей ред. Г.М.Веденеева. – М.: Радио и связь, 1992.

5. Разевиг В.Д. Применение программ PCAD и Pspise для схемотехнического моделирования на ПЭВМ: В 4 вып. Вып.4. Под общей ред. Г.М.Веденеева. – М.: Радио и связь, 1992.


Добавить документ в свой блог или на сайт

Похожие:

Государственное образовательное учреждение высшего профессионального образования icon Федеральное государственное бюджетное образовательное учреждение...
Федеральное государственное бюджетное образовательное учреждение высшего профессионального образования
Государственное образовательное учреждение высшего профессионального образования icon Федеральное государственное бюджетное образовательное учреждение...
Федеральное государственное бюджетное образовательное учреждение высшего профессионального образования
Государственное образовательное учреждение высшего профессионального образования icon Государственное образовательное учреждение высшего профессионального...
...
Государственное образовательное учреждение высшего профессионального образования icon Российской Федерации Федеральное государственное бюджетное образовательное...
Федеральное государственное бюджетное образовательное учреждение высшего профессионального образования
Государственное образовательное учреждение высшего профессионального образования icon Федеральное государственное бюджетное образовательное учреждение...
Государственного образовательного стандарта высшего профессионального образования (гос впо) по специальности
Государственное образовательное учреждение высшего профессионального образования icon Отчет о результатах самообследования новозыбковский филиал Федерального...
Федеральное государственное бюджетное образовательное учреждение высшего профессионального образования
Государственное образовательное учреждение высшего профессионального образования icon Государственное образовательное учреждение высшего профессионального образования

Государственное образовательное учреждение высшего профессионального образования icon Государственное образовательное учреждение высшего профессионального образования

Государственное образовательное учреждение высшего профессионального образования icon Государственное образовательное учреждение высшего профессионального образования

Государственное образовательное учреждение высшего профессионального образования icon Государственное образовательное учреждение высшего профессионального образования

Литература


При копировании материала укажите ссылку © 2015
контакты
literature-edu.ru
Поиск на сайте

Главная страница  Литература  Доклады  Рефераты  Курсовая работа  Лекции